vhdl - Which signal in the sensitivity list triggers the process -


in vhdl, when simulating testbench, have process , sensitivity list. possible see signal in sensitivity list has triggered process? understand may dependent on tools. im using xilinx ise. simulator give information?

you can use 'transaction attribute in conjunction 'event determine signals have had transaction in current delta cycle:

process(a, b) begin   if a'transaction'event     report "transaction on a";   end if;    if b'transaction'event     report "transaction on b";   end if; end process; 

the 'transaction attribute creates new signal of type bit toggles on each transaction. 'event attribute on signal identifies when transaction has happened on parent signal.

you can use not <signal name>'quiet(0 ns) determine signals sensitivity list have had transaction since last time step:

process(a, b) begin   if not a'quiet(0 ns)     report "transaction on a";   end if;    if not b'quiet(0 ns)     report "transaction on b";   end if; end process; 

the latter may more useful if don't want deal sequencing of events happen on different delta cycles.


Comments

Popular posts from this blog

javascript - how to protect a flash video from refresh? -

android - Associate same looper with different threads -

visual studio 2010 - Connect to informix database windows form application -